Publications

  • DOROBANȚIU, ALEXANDRU; BRAD, REMUS – Improving Lossless Image Compression with Contextual Memory, Applied Sciences, 9, no. 13: 2681, SRI 2.217, 2019, DOI: https://doi.org/10.3390/app9132681.
  • ALEXANDRU DOROBANŢIU, REMUS BRAD – A novel contextual memory algorithm for edge detection, Pattern Analysis and Applications, Springer, SRI 1.410, 2019, DOI: https://doi.org/10.1007/s10044-019-00808-0.
  • C. BĂNCIOIU, M. VINȚAN, L. VINŢAN – Efficiency Optimizations for Koller and Sahami’s Feature Selection Algorithm, Romanian Journal of Information Science and Technology (ROMJIST), Vol. 22, No. 1, pp. 85-99, ISSN: 1453-8245, Romanian Academy, Bucharest, 2019, IF = 0,288, SRI (AIS)=0,082.
  • ARPAD GELLERT , MARIA VINTAN ,  LUCIAN VINTAN – Perceptron-Based Selective Load Value Predictionin a Multicore Architecture, Romanian Journal of Information Science and Technology,  Volume 22, Number 3–4, pp. 215–227, ISSN: 1453-8245, 2019, IF = 0,288, SRI (AIS)=0,082.
  • ARPAD GELLERT, ADRIAN FLOREA, UGO FIORE, PAOLO ZANETTI, LUCIAN VINTAN – Performance and Energy Optimisation in CPUs through Fuzzy Knowledge Representation, Information Sciences, Vol. 476, ISSN 0020-0255, IF=4.832, DOI 10.1016/j.ins.2018.03.029, pages 375-391, February 2019.
  • ARPAD GELLERT, ADRIAN FLOREA, UGO FIORE, FRANCESCO PALMIERI, PAOLO ZANETTI – A study on forecasting electricity production and consumption in smart cities and factories, International Journal of Information Management, Elsevier, DOI 10.1016/j.ijinfomgt.2019.01.006, ISSN 0268-4012, IF=5.063, Vol. 49, pages 546-556, December 2019.
  • ARPAD GELLERT AND REMUS BRAD – Studying the influence of search rule and context shape in filtering impulse noise images with Markov chains, Signal, Image and Video Processing, Springer London, 12(2), pp. 315-322, DOI:10.1007/s11760-017-1160-1, SRI 1.643, 2018.
  • CHIȘ R., FLOREA A., BUDULECI C., VINȚAN L. – Multi-Objective Optimization for an Enhanced Multi-Core SNIPER Simulator, Proceedings of The Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, Volume 19, Number 1, pp. 85-93, ISSN 1454-9069, Bucharest, 2018.
  • ARPAD GELLERT, LUCIAN VINTAN – A Multicore Architecture with Selective Load Value Prediction, Proceedings of the Romanian Academy, Series A, Vol. 19, No. 4, ISSN 1454-9069, IF=1.752, pages 597-604, November 2018.
  • VINŢAN L., MORARIU D., CREŢULESCU R., VINŢAN M. – An Extension of the VSM Documents Representation, International Journal of Computers, Communications & Control, ISSN 1841–9836, Vol. 12, Issue 3, pp. 403 – 414, IF=1,374, WOS:000402475300008, DOI: http://dx.doi.org/10.15837/ijccc.2017.3, 2017.
  • CHIȘ R., VINȚAN L. – Developing Automatic Multi-Objective Optimization Methods for Complex Actuators, Advances in Electrical and Computer Engineering, Vol. 17, Issue 4, pp. 89-98, ISSN: 1582-7445, IF= 0,595, SRI = 0,170, DOI: 10.4316/AECE.2017.04011, 2017;
  • L. N. VINŢAN – Towards Synergic Meta-Algorithmic Approaches in Complex Computing Systems, Romanian Journal of Information Science and Technology (ROMJIST), Vol. 20, No. 3, pp. 241-255, ISSN: 1453-8245, Romanian Academy, Bucharest, IF = 0,422, SRI=0,295. WOS:000418495500007, 2017.
  • ARPAD GELLERT – Web Access Mining through Dynamic Decision Trees with Markovian Features, Journal of Web Engineering, Vol. 16, Issue 5-6, ISSN 1540-9589 (ISI Thomson Journals, IF=0.622, SRI=0.217), pages 524-536, USA, 2017.
  • ARPAD GELLERT AND REMUS BRAD – Context-based prediction filtering of impulse noise images, IET Image Processing, Volume 10, Issue 6, p. 429 –437, DOI: 10.1049/iet-ipr.2015.0702 , Online ISSN 1751-9667, SRI 1.401.
  • ARPAD GELLERT, ADRIAN FLOREA – Web Prefetching through Efficient Prediction by Partial Matching, World Wide Web, Vol. 19, Issue 5, ISSN 1386-145X (ISI Thomson Journals, IF=1.405, SRI=1.083), DOI 10.1007/s11280-015-0367-8, pages 921-932, USA, 2016.
  • OLIVEIRA­LIMA J.A., MORAIS R., MARTINS J.F., FLOREA A., LIMA C. – Load forecast on intelligent buildings based on temporary occupancy monitoring, Energy and Buildings, Volume 116, Pages 512–521, DOI 10.1016/j.enbuild.2016.01.028, IF: 3.617, SRI=2.058, 2016.
  • JAHR R., CALBOREAN H., VINȚAN L., UNGERER T. – Finding Near­Perfect Parameters for Hardware and Code Optimizations with Automatic Multi­Objective Design Space Explorations, Concurrency and Computation: Practice and Experience, DOI: 10.1002/cpe.2975, Volume 27, Issue 9, pp. 2196­2214, Print ISSN 1532­0626, Online ISSN: 1532­0634, John Wiley & Sons, 2015.
  • VINȚAN L., CHIS R., MD. ALI ISMAIL, COTOFANA C. – Improving Computing Systems Automatic Multi­Objective Optimization through Meta­Optimization, IEEE Transactions on Computer­Aided Design of Integrated Circuits and Systems, ISSN: 0278­0070, DOI 10.1109/TCAD.2015.2501299, IF = 1,203,  SRI = 1,342, 2015.
  • JAHR R., CALBOREAN H., VINȚAN L., UNGERER T. – Finding Near-Perfect Parameters for Hardware and Code Optimizations with Automatic Multi-Objective Design Space Explorations, Concurrency and Computation: Practice and Experience, doi: 10.1002/cpe.2975, Volume 27, Issue 9 (June 25), pp. 2196-2214, Print ISSN 1532-0626, Online ISSN: 1532-0634, John Wiley & Sons, 2015; see http://webspace.ulbsibiu.ro/lucian.vintan/html/CCPE.pdf.
  • VINȚAN L., CHIS R., MD. ALI ISMAIL, COTOFANA C. – Improving Computing Systems Automatic Multi-Objective Optimization through Meta-Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, ISSN: 0278-0070, DOI 10.1109/TCAD.2015.2501299, 2015 – see http://webspace.ulbsibiu.ro/lucian.vintan/html/TCAD.pdf.
  • R. CRETULESCU, A. DAVID, D. MORARIU, L. VINŢAN – Part-Of-Speech Labeling for Reuters Database, Proceedings of The 19-th International Conference on System Theory, Control and Computing, ISBN 978-1-4799-8481-7, pp. 117-122, Cheile Gradistei – Fundata Resort, Romania (Romania), IEEE, October 14 – 16, 2015, DOI: 10.1109/ICSTCC.2015.7321279, v. http://www.aie.ugal.ro/icstcc2015.
  • RALUCA VREJA AND REMUS BRAD – Image Inpainting Methods Evaluation and improvement, The Scientific World Journal, Article ID 937845, DOI:10.1155/2014/937845, Impact Factor: 1.73, 2014.
  • Lucian N. VINŢAN – Multi­Objective Optimization of Advanced Computing Systems: Some Achievements and Fertile Work Directions, Romanian Journal of Information Science and Technology (ROMJIST), vol. 17, no. 2, pp. 121­133, ISSN: 1453­8245, Romanian Academy, Bucharest, 2014.
  • ARPAD GELLERT, ADRIAN FLOREA, Web Page Prediction Enhanced with Confidence Mechanism, Journal of Web Engineering, Vol. 13, Issue 5­6, ISSN: 1540­9589, IF=0.361, SRI=0.313, pages 507­524, USA, November 2014.
  • FLOREA A., BUDULECI C., CHIS R., GELLERT A., VINTAN L. – Enhancing the Sniper Simulator with Thermal Measurement, The 18th International Conference on System Theory, Control and Computing, Sinaia, October 2014.
  • Lucian N. VINŢAN – Multi-Objective Optimization of Advanced Computing Systems: Some Achievements and Fertile Work Directions, Romanian Journal of Information Science and Technology (ROMJIST), vol. 17, no. 2 (aprilie – iunie), pp. 121-133, ISSN: 1453-8245, Romanian Academy, Bucharest, 2014. Disponibil online pe site-ul revistei: v. http://www.imt.ro/romjist/Volum17/Number17_2/pdf/LVintan2014.pdf.
  • Ion MIRONESCU, Lucian VINŢAN, Colored Petri Net Modelling of Task Scheduling on a Heterogeneous Computational Node, Proceedings of 10th International Conference on Intelligent Computer Communication and Processing (ICCP 2014), ISBN 978-1-4799-6568-7, pp. 323-330, IEEE Computer Society Press, Cluj-Napoca, September 4 – 6 2014.
  • Radu CHIS, Lucian VINŢAN, Multi-Objective Hardware-Software Co-Optimization for the SNIPER Multi-Core Simulator, Proceedings of 10th International Conference on Intelligent Computer Communication and Processing (ICCP 2014), ISBN 978-1-4799-6568-7, pp. 3-9, IEEE Computer Society Press, Cluj-Napoca, September 4 – 6 2014, see http://webspace.ulbsibiu.ro/lucian.vintan/html/iccp.pdf.
  • R. CRETULESCU, A. DAVID, D. MORARIU, L. VINŢAN – Part of Speech Tagging with Naive Bayes Methods, Proceedings of The 18-th International Conference on System Theory, Control and Computing, Sinaia (Romania), ISBN 978-1-4799-4602-0, pp. 452-457, IEEE, October 17 – 19, 2014, v. http://www.ace.tuiasi.ro/icstcc2014/index.html.
  • C. RADU, MD. S. MAHBUB, L. VINȚAN ­ Developing Domain­Knowledge Evolutionary Algorithms for Network­on­Chip Application Mapping, Microprocessors and Microsystems, vol. 37, issue 1, pp. 65­78, ISSN: 0141­9331, Elsevier, February 2013, IF=0.592, 2013.
  • MORARIU D., CRETULESCU R., VINTAN L. – Vector versus Tree Model Representation in Document Clustering, Romanian Journal of Information Science and Technology (ROMJIST), vol. 16, no. 1, pp. 81-102, ISSN: 1453-8245, Romanian Academy, Bucharest, 2013. Disponibil online la adresa: http://www.imt.ro/romjist/Volum16/Number16_1/pdf/06-LVintan.pdf.
  • L. VINTAN – Thoughts about material implication “if A then B”, Studies in Logic, vol. 6, no. 3, pp. 81-87, ISSN: 1674-3202, Institute of Logic and Cognition, Sun Yat-sen University, Guangzhou, China, 2013, v. http://www.studiesinlogic.net/english/UploadFiles_1698/201311/20131119215931891.pdf.
  • VINTAN L. – Degrees of Contradiction for Fuzzy Logic Rules implementing Computer Architecture Ontologies (Grade de contradictie pentru ontologii de domeniu reprezentate prin logici fuzzy), Revista Română de Informatică şi Automatică, ISSN: 1220-1758, Editura ICI, Bucuresti, vol. 23, nr. 3, pg. 23-26, 2013, v. http://rria.ici.ro/ria2013_3/art02.pdf.
  • Ion D. MIRONESCU, Lucian VINTAN, Performance Prediction for Parallel Applications Running on HPC Architectures through Petri Net Modelling and Simulation, 9th International Conference on Intelligent Computer Communication and Processing (ICCP 2013), ISBN 978-1-4799-1493-7, pp. 276-270, IEEE Computer Society Press, Cluj-Napoca, September 5 – 7 2013.
  • Radu CHIS, Maria VINTAN, Lucian VINTAN, Multi-objective DSE Algorithms’ Evaluations on Processor Optimization, Proceedings of 9th International Conference on Intelligent Computer Communication and Processing (ICCP 2013), ISBN 978-1-4799-1493-7, pp. 27-34, IEEE Computer Society Press, Cluj-Napoca, September 5 – 7 2013.
  • C. RADU, MD. S. MAHBUB, L. VINȚAN – Developing Domain-Knowledge Evolutionary Algorithms for Network-on-Chip Application Mapping, Microprocessors and Microsystems, vol. 37, issue 1, pp. 65-78, ISSN: 0141-9331, Elsevier, February 2013; see http://webspace.ulbsibiu.ro/lucian.vintan/html/CCPE.pdf.
  • H. CALBOREAN, R. JAHR, UNGERER T., L. VINTAN – A Comparison of Multi-Objective Algorithms for the Automatic Design Space Exploration of a Superscalar System, Advances in Intelligent Control Systems and Computer Science (Book title). Advances in Intelligent Systems and Computing (Series title), Volume 187, pp. 489-502, ISBN 978-3-642-32547-2, ISSN 2194-5357, Springer Berlin Heidelberg.
  • C. RADU, L. VINTAN – Domain-Knowledge Optimized Simulated Annealing for Network-on-Chip Application Mapping, Advances in Intelligent Control Systems and Computer Science (Book title). Advances in Intelligent Systems and Computing (Series title), Volume 187, pp. 473-487, ISBN 978-3-642-32547-2, ISSN 2194-5357, Springer Berlin Heidelberg.
  • R. G. CREŢULESCU, D. I. MORARIU, M. BREAZU, L. N. VINŢAN – Weights Space Exploration using Genetic Algorithms for Meta-classifier in Text Document Classification, Studies in Informatics and Control, Vol. 21, Issue 2, pp. 147-154, ISSN: 1220-1766, National Institute for Research and Development in Informatics (ICI), Bucharest, 2012 (cotata ISI Thomson Reuters, IF=0.671 in 2010 – v. http://sic.ici.ro/sic2012_2/index.html).
  • JAHR R., CALBOREAN H., VINTAN L., UNGERER T. – Boosting Design Space Explorations with Existing or Automatically Learned Knowledge, The 16-th International GI/ITG Conference on Measurement, Modelling and Evaluation of Computing Systems and Dependability and Fault Tolerance (MMB/DFT 2012), March 19-21, 2012, Kaiserslautern, Germany; Lecture Notes in Computer Science, 2012, Volume 7201/2012, pp. 221-235, Springer-Verlag Berlin Heidelberg, ISSN 0302-9743, ISBN 978-3-642-28539-4, DOI: 10.1007/978-3-642-28540-0_16.
  • GELLERT A., CALBOREAN H., VINTAN L., FLOREA A. – Multi-Objective Optimizations for a Superscalar Architecture with Selective Value Prediction, IET Computers & Digital Techniques, Vol. 6, Issue 4, ISSN: 1751-8601 (ISI Thomson Journals), pages 205-213, Stevenage, United Kingdom, July 2012.
  • NUSRAT SHARMIN AND REMUS BRAD – Optimal Filter Estimation for Lucas­Kanade Optical Flow, Sensors, vol. 12(9), pp. 12694­12709, 2012, Impact Factor: 1.953, SRI 1.16146
  • R. G. CREŢULESCU, D. I. MORARIU, M. BREAZU, L. N. VINŢAN ­ Weights Space Exploration using Genetic Algorithms for Meta­classifier in Text Document Classification, Studies in Informatics and Control, Vol. 21, Issue 2, pp. 147­154, ISSN: 1220­1766, Bucharest, IF=0.671, 2012.
  • A. GELLERT, H. CALBOREAN, L. VINŢAN, A. FLOREA ­ Multi­Objective Optimizations for a Superscalar Architecture with Selective Value Prediction, IET Computers & Digital Techniques, United Kingdom, Vol. 6, Issue 4, pp. 205­213, ISSN: 1751­8601, IF=0.284, SRI=0.36945), pages 205­-213, 2012.
  • JAHR R., UNGERER T., CALBOREAN H. and VINTAN L. – Automatic Multi-Objective Optimization of Parameters for Hardware and Code OptimizationsThe 2011 International Conference on High Performance Computing & Simulation(HPCS 2011), 4 – 8 July, 2011, Istanbul, Turkey. Paper [PDF][BibTeX] selected for Outstanding paper award
  • FLOREA A., RATIU A., GELLERT A., VINTAN L. – A Visual Simulation Framework for Simultaneous Multithreading Architectures, The 25th European Conference on Modelling and Simulation (ECMS 2011), ISBN: 978-0-9564944-2-9, Krakow, Poland, June 2011.
  • RADU C., VINTAN L. – UNIMAP: UNIFIED FRAMEWORK FOR NETWORK-ON-CHIP APPLICATION MAPPING RESEARCH, Acta Universitatis Cibiniensis – Technical Series, “Lucian Blaga” University of Sibiu, Romania, ISSN 1583-7149, May 2011, Sibiu, Romania. Paper [PDF] Talk [PDF] Citation [BIB]
  • CALBOREAN H. and VINTAN L. – Framework for Automatic Design Space Exploration of Computer SystemsActa Universitatis Cibiniensis – Technical Series, “Lucian Blaga” University of Sibiu, Romania, ISSN 1583-7149, May 2011, Sibiu, Romania. Paper [PDF], Talk [PDF] , [BibTeX]
  • RADU C., VINTAN L. – Optimized Simulated Annealing for Network-on-Chip Application Mapping, Proceedings of the 18th International Conference on Control Systems and Computer Science (CSCS-18), Politehnica Press, pp. 452-459, ISSN 2066-4451, 24 – 27 May 2011, Bucharest, Romania. Paper [PDF] Talk [PDF] Citation [BIB]
  • CALBOREAN H., JAHR R., UNGERER T. and VINTAN L. – Optimizing a Superscalar System using Multi-objective Design Space Exploration18th International Conference on Control Systems and Computer Science (CSCS 18), 24 – 27 May, 2011, Bucharest, Romania. Paper [PDF], Talk [PDF] , [BibTeX]
  • MORARIU D., CRETULESCU R., VINTAN L. – Improving a SVM Meta-classifier for Text Documents by using Naive Bayes, International Journal of Computers, Communications & Control, Vol. V, No. 3, pp. 351-361, ISSN 1841-9836, E-ISSN 1841-9844, September 2010
  • RADU C., VINTAN L. – Towards a Unified Framework for the Evaluation and Optimization of NoC Application Mapping Algorithms, Sixth International Summer School on Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), Academic Press, Ghent, Belgium, pp. 163-166, ISBN 978-90-382-1631-7, July 14, 2010, Terrassa (Barcelona), Spain. Paper [PDF], Poster [PDF]
  • CALBOREAN H. and VINTAN L. – Toward an efficient automatic design space exploration frame for multicore optimizationSixth International Summer School on Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), July 2010, Terrassa (Barcelona), Spain. Paper [PDF], Poster [PDF]
  • RADU C. and VINTAN L. – Optimizing application mapping algorithms for NoCs through a unified framework, In Proceedings of the 9-th IEEE RoEduNet International Conference, Sibiu, Romania, pp. 259 – 264, ISBN 978-1-4244-7335-9, 24-26 June 2010. IEEE Computer Society.
    Paper [PDF] Talk [PDF] Citation [BIB] IEEEXplore [IEEE] ISI Thomson Reuters Proceedings [ISI]
  • CALBOREAN H. and VINTAN L. – An automatic design space exploration framework for multicore architecture optimizations In Proceedings of the 9-th IEEE RoEduNet International Conference, Sibiu, Romania, June 2010. IEEE Computer Society. Paper [PDF] Talk [PDF] , [BibTeX] Best paper award, indexed ISI Thomson Reuters Proceedings
  • CRETULESCU R., MORARIU D., VINTAN L., COMAN I. D. – An Adaptive Meta-classifier for Text Documents, The 16-th International Conference on Information Systems Analysis and Synthesis: ISAS 2010, vol. 2, pp. 372-377, ISBN-13: 978-1-934272-88-6, Orlando Florida, USA, April 6th – 9th 2010
  • GELLERT A., PALERMO G., ZACCARIA V., FLOREA A., VINTAN L., SILVANO C. – Energy-Performance Design Space Exploration in SMT Architectures Exploiting Selective Load Value Predictions, International Conference on Design, Automation and Test in Europe (DATE 2010), Dresden, Germany, March 2010.
  • RADU C., CALBOREAN H., Adrian Florea, Arpad Gellert, VINTAN L. – Exploring some multicore research opportunities. A first attempt, Fifth International Summer School on Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), Academic Press, Ghent, Belgium, pp. 151-154, ISBN 978-90-382-1467-2, Terrassa (Barcelona), Spain, July 2009.
  • GELLERT A., FLOREA A., VINTAN L. – Exploiting Selective Instruction Reuse and Value Prediction in a Superscalar Architecture, Journal of Systems Architecture, Volume 55, Issue 3, pp. 188-195, ISSN 1383-7621, 2009 (ISI Thomson Journals).
  • FLOREA A., GELLERT A., VINTAN L., VELTAN M. – The Impact of Java Applications at Microarchitectural Level from Branch Prediction Perspective, International Journal of Computers, Communications & Control, Vol. IV, No. 1, pp.27-40, ISSN 1841-9836, E-ISSN 1841-9844, 2009 (ISI Thomson Journals).
  • VINTAN L., FLOREA A., GELLERT A. – Random Degrees of Unbiased Branches, Proceedings of The Romanian Academy, Series A, Vol. 9, No. 3, ISSN 1454-9069, Bucharest, 2008 (ISI Thomson Journals).
  • VINTAN L., FLOREA A., GELLERT A. – Forcing Some Architectural Ceilings of the Actual Processor Paradigm, Invited Paper, The Third Conference of The Academy of Technical Sciences from Romania (ASTR), Cluj-Napoca, November 2008.
  • MORARIU D., VINTAN L. – Aspects concerning SVM Method’s Scalability, Studies in Computational Intelligence (SCI). Advances in Intelligent and Distributed Computing, Volume 78, pp. 125-134, Springer-Verlag Berlin Heidelberg, ISSN 1860-949X, ISBN 978-3-540-74929-5, 2008.
  • FLOREA A., RADU C., CALBOREAN H., CRAPCIU A., GELLERT A., VINTAN L. –Designing an Advanced Simulator for Unbiased Branches’ Prediction, The 9-th International Symposium on Automatic Control and Computer Science (SACCS 2008), ISSN 1843-665X, Iasi, Romania, November 16 – 18, 2007 (republicat in forma revazuta si adaugita in Bul. St. al Univ. “Gh Asachi” Iasi).
  • GELLERT A., FLOREA A., VINTAN M., EGAN C., VINTAN L. – Unbiased Branches: An Open Problem, Lecture Notes in Computer Science, Advances in Computer Systems Architecture, vol. 4697, pp. 16-27, Springer-Verlag, ISSN 0302-9743, ISBN 978-3-540-74308-8, Berlin / Heidelberg, 2007.
  • MORARIU D., VINTAN L., TRESP V. – Evaluating some Feature Selection Methods for an improved SVM Classifier, International Journal of Intelligent Technology, vol. 1, No. 4, ISSN 1305-6417, pp. 288-298, 2006
  • MORARIU D., VINTAN L., TRESP V. – Feature Selection Methods for an Improved SVM Classifier, Enformatika Journal, Transactions on Engineering, Computing and Technology, vol. 14, Aug. 2006, ISBN/ISSN 1305-5313, (World Enformatika Conference, 3rd International Conference on Intelligent Systems, ICIS 2006), Prague, Czech Republik, 2006
  • VINTAN L., GELLERT A., FLOREA A., OANCEA M., EGAN C. – Understanding Prediction Limits through Unbiased Branches, Lecture Notes in Computer Science, Advances in Computer Systems Architecture, vol. 4186, pp. 480-487, Springer-Verlag, ISSN 0302-9743, ISBN 978-3-540-40056-1, Berlin / Heidelberg, 2006 (acreditata ISI Thomson Journals, JR=0.40, JIF=0.515 in 2002, AJIF=0.514 in 2004 vezi http://www.isinet.com/ )
  • OANCEA M., GELLERT A., FLOREA A., VINTAN L. – Analyzing Branch Prediction Context Influence, Advanced Computer Architecture and Compilation for Embedded Systems, (ACACES 2006), ISBN 90 382 0981 9, L’Aquila, Italy, July 2006
  • MORARIU D., VINTAN L. – A Better Correlation of the SVM Kernel’s Parameters, Proceedings of the 5th RoEduNet IEEE International Conference, ISBN (10) 973-739-277-9, Sibiu, 1-3 June 2006
  • FLOREA A., GELLERT A. – Memory Wall – A Critical Factor in Current High-Performance Microprocessors, Science and Supercomputing in Europe, ISBN 978-88-86037-19-8, pages 257-264, Barcelona, Spain, 2006.
  • GELLERT A., FLOREA A. – Finding and Solving Difficult Predictable Branches, Science and Supercomputing in Europe, ISBN 978-88-86037-19-8,  pages 265-271, Barcelona, Spain, 2006.
  • GELLERT A., VINTAN L. – Person Movement Prediction Using Hidden Markov Models, Studies in Informatics and Control, Vol.15, No. 1, ISSN: 1220-1766, National Institute for Research and Development in Informatics, Bucharest, March 2006
  • VINTAN L., FLOREA A., GELLERT A. – Focalizing Dynamic Value Prediction to CPU’s Context, IEE Proceedings. Computers & Digital Techniques, United Kingdom, Vol. 152, No. 4, ISSN 1350-2387, July 2005 (ISI Thomson Journals)
  • VINTAN L., GELLERT A., FLOREA A. – Value Prediction Focalized on CPU Registers, Advanced Computer Architecture and Compilation for Embedded Systems, (ACACES 2005), Academia Press, ISBN 90 382 0802 2, pages 181-184, Ghent, Belgium, July 2005.
  • FLOREA A., VINTAN L. – Advanced Techniques for improving Indirect Branch Prediction Accuracy, Proceedings 19th European Conference on modelling and simulation (formerly referred to as ESM 2005), ISBN 1-84233-112-4 (set) / ISBN 1-84233-113-2 (CD), Riga, Latvia, June 1st – 4th , 2005
  • VINTAN L., GELLERT A., FLOREA A. – Register Value Prediction using Metapredictors, Proceedings of the 8-th International Symposium on Automation Control and Computer Science (SACCS 2004), CD, ISBN 973-621-086-3, Iasi, Romania, October 22-23, 2004 (republicata in Buletinul stiintific, seria Calculatoare, Iasi, 2004)
  • VINTAN L. – Value Prediction and Speculation into the Next Microprocessors Generation, Proceedings of The Romanian Academy, Series A, Volume 5, Number 3, ISSN 1454-9069, Bucharest, 2004
  • VINTAN L., GELLERT A., UNGERER T., PETZOLD J. – Person Movement Prediction Using Neural Networks, KI 2004 Workshop on Modeling and Retrieval of Context, University of Ulm, Germany, ISSN 1613-0073, September 2004
  • PETZOLD J., BAGCI F., TRUMLER W., UNGERER T., VINTAN L. – Global State Context Prediction Techniques Applied to a Smart Office Building, 2004 Communication Networks and Distributed Systems Modeling and Simulation Conference (CNDS’04), San Diego, California, USA, January 18-21, 2004
  • EGAN C., STEVEN G., QUICK P., ANGUERA R., VINTAN L. – Two-Level Branch Prediction using Neural Networks, Journal of Systems Architecture, vol. 49, issues 12-15, pg.557-570, ISSN: 1383-7621, Elsevier, Amsterdam ( ISI Thomson Journals, see http://www.isinet.com/), December 2003 (http://www.elsevier.com/locate/sysarc)
  • VINTAN L., SBERA M., MIHU Z. I., FLOREA A. – An Alternative to Branch Prediction: Pre-Computed Branches, ACM SIGARCH Computer Architecture News, Vol.31, Issue 3 (June), ISSN: 0163-5964, ACM Press, NY, USA, 2003
  • EGAN C., STEVEN G., VINTAN L. – Cached Two-Level Adaptive Branch Predictors with Multiple Stages, “Lecture Notes in Computer Science”, vol. 2299, Springer-Verlag, ISSN 0302-9743, ISBN 3-540-43409-7, pg. 179-191, Berlin Heidelberg, 2002 (ISI Thomson Journals, http://www.isinet.com/ )
  • STEVEN G., EGAN C., SHIM, VINTAN L. – A Cost-Effective Two-Level Adaptive Branch Predictor, Proceedings of The 13rd International Conference on Control Systems and Computer Science (CSCS 13), Bucharest, Romania, May 2001
  • STEVEN G., EGAN C., VINTAN L. – Applying Caching to Adaptive Branch Prediction, Proceedings of International Euromicro Conference DSD ‘2001, Warsaw, Poland, September 2001
  • STEVEN G., EGAN C., VINTAN L. – Dynamic Branch Prediction using Neural Networks, Proceedings of International Euromicro Conference DSD ‘2001, Warsaw, Poland, September 2001
  • VINTAN L. – Towards a Powerful Dynamic Branch Predictor, Romanian Journal of Information Science and Technology (ROMJIST), vol.3, nr.3, pg.287-301, Romanian Academy, Bucharest, 2000
  • VINTAN L. – Towards a High Performance Neural Branch Predictor, Proceedings of The International Joint Conference on Neural Networks – IJCNN ’99 (CD-ROM, ISBN 0-7803-5532-6), Washington DC, USA, 10-16 July, 1999
  • VINTAN L., EGAN C. – Extending Correlation in Branch Prediction Schemes, Proceedings of 25th Euromicro International Conference, Milano, Italy, 8-10 September, IEEE Computer Society Press, ISBN 0-7695-0321-7, 1999